GCEMarket 翻新二手设备提供!

发布:shenshugce 2010-03-22 17:25 阅读:6989
Please keep GCE in mind for all of your current and future capital equipment needs. As you may know, GCE is in 10th year of business with steady growth year after year. We are here and growing because of you and we are here to continue to serve you. Please do not hesitate to call on us to leverage our knowledge, experience, reputation and global market reach. in<}fAro6  
k@V#HC{t  
Now for the updates.... let me start with what we are looking to purchase for ourselves and/or for our customers. We buy equipment for our own stock. Also, we are assisting our customers with locating the following equipment. If you have such equipment for sale, we are interested in receiving details from you. Please do not hesitate to send us a list of your surplus tools as we are always in the market looking for opportunities to add to our inventory. _d@=nK)  
dt_e  
WANTED for Purchase : -?<4Og[^  
?vgH"W~3>  
Aixtron 2600/G3 MOCVD (GaN and GaAs configurations required) @wp4 |G  
\?DR s  
CHA ebeam evaporators (models 600, 1000, Mark 40, Mark 50)                           9I`Y-D  
B<7/,d'  
Credence TMT ASL 1000 testers ][d,l\gu+s  
dA_YL?o r  
Denton Discovery 18 e-beam evaporator or similar JqCc;Cbd  
fTq C:r|st  
Eagle Testers ( ETS 364 , ETS 200) _n"Ae?TP  
ymWgf 6r<  
Emcore /Veeco D180 MOCVD systems (GaN and GaAs configurations required) e}0:"R%E  
)4R:)-"f  
FEI Dual Beam FIB/SEM (Strata, Quanta or Helios will be considered) auHFir 8f  
/qU>5;  
Hitachi FESEMs Models : S4300, S4700, S4800 |Ps% M|8~  
$Z?\>K0i  
Karl Suss FC150 flip chip bonder @*MC/fe  
p@YB?#Im  
Karl Suss SB6 wafer bonder 15{Y9!  
:!fG; )=  
KLA 5200 Overlay tool 5Y\!pf7SQ|  
Xl_Uz8Hp  
KLA 1280SE Film Thickness measurement tool ,e`'4H  
uS+k^ #  
KLA CDSEM (any model and any condition considered) >u0w.3r#  
jN0k9O>  
KLA HRP-220 Profiler (HRP-200 or P22 will be considered) M2@b1;  
C+{l7QT$t  
KLA SP1-TBi Particle Measurement tool 93O;+Z5J  
!}KqB8;  
Nanometrics Nanospec 210 or similar % ."@Q$lA  
in-C/m#  
Nextest Maverick PT2 Tester (any NEXTEST tester will be considered) |J0Q,F]T  
1l8Etp&<  
Nikon S204B scanner with TEL ACT 8 track 'b/ <x|  
gRJfX %*F  
PECVD system (direct loading tool by STS, OXFORD or Plasmatherm/Unaxis) p?X02 >yA  
fNu'((J-  
Rasco SO2000, SO1100, SO1000 with QFN 3x3 body size, with Hot Temp option v4Ga0]VN$8  
_qXa=|}V.  
SCI Filmtek 4000 film measurement tool kJQ#Wz|z]  
8|Y.|\  
STS Multiplex ICP system (DRIE with Bosch process) !yOeW0/2[  
!xIm2+:(  
Sputter Coater (Denton or similar for SEM sample coating) Xz 4 x  
qTQ!jN  
Teradyne J750 testers (any configuration will be required) fyWO  
Zm ogM7B  
Thermo Keytek MK2 Tester for ESD Testing q2rUbU_A(  
L,,*gK  
Unaxis/Plasmatherm ICP etcher for Mask Etching l8h&|RY[  
D]s]"QQ8  
Now for the update on the supply side, below is an outline of our core offerings which is followed by an equipment for sale list. Majority of the equipment is owned by GCE and few select equipment are being marketed on behalf of select end users. 6t'l(E +  
`Y=WMNy  
Primary offerings for customers worldwide: qT:zEt5  
JRMM?y  
1) KLA CD-SEM systems: 81xx, 82XXx, 83XX, 84XX models in E, XP, XPR, TFH, ABS configurations. 15 systems in stock. Large inventory of parts. 'R<&d}@P*#  
efP&xk  
Sales, refurbishment, customization, and long term support. Can provide any configuration. Customization available. Refurbished with minimum 3 months warranty. Q7X3X,  
SLfFqc+n0  
Fully refurbished electron beam sources available for immediate sale. E\nv~Y?SG  
{nT^t Aha  
2) Credence Kalos Testers: PK1, PK2, Kalos 1 Hex, Kalos 2 HEX, Kalos XW, Kalos 2 models in stock R%D'`*+  
L6Wt3U`l  
Sales, refurbishment, and long term parts support. Configured to customer's requirement. Large stock of parts. 3 months warranty. {%2vGn  
WKz> !E%  
3) Nikon Steppers/Scanners: Sales, refurbishment, and support. G-Line, I-Line, DUV. In cooperation with US based Nikon refurbisher. 3 months warranty.   Zk8|K'oHx  
8vSse  
4) Applied Materials CVD/Etch/Sputter systems: Sales, refurbishment, and support. In cooperation with US based AMAT refurbisher. 3 months warranty.   2lKV#9"  
{O<l[|Ip  
5) Veeco/Emcore MOCVD systems: Sales, refurbishment, upgrades, and support of D180, E400, E450 systems . In cooperation with our US based partner, 3 months warranty.   6r: ?;j~l  
jw}}^3.  
We are offering the following equipment for sale. Refurbishment, warranty, start up and support services are available for most equipment.   JO<wK  
&UCsBqIY  
WAFER PROCESSING EQUIPMENT for Sale :   @+F4YJmB?l  
38DT2<qC  
ADE 9500 Ultraguage f h#C' sn  
sVk$x:k1M  
ADE/KLA Tencor AFS 3220FA Mark IV $;M:TpX  
mGUO6>g  
Anatech/Technics Hummer -VI Sputter Coater @yXfBML?]  
<<](XgR(  
Aixtron 2400/G3 MOCVD, As/P U7uKRv9  
B+C);WQ,  
Aixtron 2400/G3 MOCVD for GaN 6 x 2" (3 systems for sale) Uy ?  
,lA.C%4au~  
Aixtron 2400/G2 MOCVD, As/P 6 5y+Z  
;$Y4xM`=m  
Axcelis / Fusion Gemini Photostabilizer system (GPS) )irRO8  
rqP FU6  
Axcelis / Fusion M200 PCU Photostabilizer system r:&` $8$  
o&AM2U/?  
Applied Materials P5000 RIE, 6", 3 MxP chambers, Oxide/Poly (upto 8") 8t@p @Td|  
P0H6 mn*  
Applied Materials P5000 PECVD system, 8", TEOS with PLIS <<=WY_m}  
ydw)mT44K  
Canon FPA-3000 EX4 DUV Stepper, 8" (Refurbished by Canon, Guaranteed performance) ?pgG,=?  
;S0Kh"A  
Canon FPA-3000 Stepper spare parts (suitable for i3, i4, i5, iw, EX3, EX4, EX5, EX6 in stock) [.RO'>2z  
O=SkAsim  
Cameca IMS 6F (SIMS) %AOja+  
MX4]Vpv  
Emcore D180 MOCVD, GaN, 2 systems, presently running in production, Relocation service available PP:(EN1  
r]3'74j:  
Emcore E400 MOCVD As/P, presently running in production E*L iM5+I  
N]KxAttt  
Emcore E450 MOCVD As/P Mu'8;9_6  
`n$5+a+  
FEI 820 Dual Beam FIB/SEM (just arrived) p.+ho~sC,.  
$zB[B;-!$  
FEI 800 FIB, operational in Lab &Ysosy*  
1]orUF&_  
FEI / Micrion 9500 FIB, operational in Lab A,r*%&4~  
l;y7]DO  
FEI Strata 201 FIB, operational in Lab k} ]T;|h]  
hx/N1 x  
FEI Tecnai F20 TEM, operational in Lab K\XH4kic  
P/EM :  
Fusion Systems F300S/F300SQ UV |t; ~:A  
 /'31w9  
Hamamatsu Systems Phemos-1000 6c^e\0q  
~"UV]Udn  
Hitachi S4500 FESEM with EDX &WNf M+  
%Y!Yvw^&P(  
Hitachi S4800(II) FESEM Or !+._3i  
U|g:`v7  
Hitachi S4800 FESEM w/EDS )(y) A[  
uV 7BK+[O  
Hitachi S5000H SEM /-bO!RTwf  
r}uz7}z %"  
KDF 643NT In-Line Sputtering Tool rvr-XGK36\  
(@iMLuewK  
KLA-Tencor 5100XP, 5200 Overlay Tools, upto 8" Oft4- 4$E  
n_3O-X(  
KLA-Tencor 5300 Overlay Tools, upto 8" 1"pw  
 o x+ 3U  
KLA-Tencor 5500 Surfscan (qty 2) 5|jw^s7  
XJLQ {  
KLA-Tencor 8100, 8100T, 8100E, 8100XP,8100XPR,8250,8300,8450 CD SEM, upto 8" (several in stock, Refurbished with warranty and installation) $95h2oXt  
wn)JXR  
KLA CDSEM electron sources, fully refurbished with 6 month warranty, in stock L#vI=GpL,r  
hE h}PX:  
KLA-Tencor CD-SEM Parts inventory dnj}AVfQx  
i;!H!-sM  
KLA-Tencor HRP-220 Profiler IpP~Uz  
J!:SPQ  
KLA-Tencor P20H Profiler 1KjU ] r2  
|j 6OM{@  
KLA-Tencor RS-55 (several in stock) ` AY_2>7  
ss5 m/i7  
KLA-Tencor FT-750 -WYAN:s  
@xB*KyUW  
Leica Vistec INS 3000 Wafer Inspection System yRo- EP  
E*jP87g  
Lindberg 5100 Series Box Oven JwJ7=P=c  
d6W SL;$  
MGI Phoenix V4 - Wafer Transfer System, for 6" wafers 3>Y 6)  
V{<xf f  
Nextral NE860 Etcher ?(R]9.5S  
b, :QT~g=  
Nikon S203B DUV SCANNER, 0.18um, 8" (refurbished / turn-key system) <n(*Xak{a  
_Gu- uuy  
Nikon S202A DUV SCANNER, 8" (refurbished / turn-key system) ?wO-cnl  
6P';DB  
Oxford Plasmalab 100 ICP Etcher (180 ICP source) =C~/7N,lW]  
.|/~op4;  
Oxford 80+ RIE systems 4q<=K=F  
R9B&dvG  
SCI FilmTek 4000 ^$ t7+g  
J_FNAdQt  
STS ASC ICP w/Bosch 23h% < ,  
8jyG" %WO  
TEL ACT 8 Coater/Developer System (Single Block system, Excellent condition, just arrived) +Z85HY{  
Fy.\7CL>  
Thomas Swan GaN MOCVD 5< ja3  
@'|)~,"bx  
Thomas Swan As/P MOCVD KCWc`Oz  
Ntbg`LGf'!  
Veeco / Emcore E450 (As/P) MOCVD uJ6DO#d`P  
X=> =5'  
YES (Yeild Engineering Systems) YES-PB6-2 e6!LSx}y  
2 aL)  
Zeiss LSM 321 IR Laser Scan Microscope   $]8h $  
*W kIq>  
AUTOMATED TEST EQUIPMENT for sale :   i F+vl]  
$#]]K  
Advantest T5581 (Spare Parts Only) 95z]9UL  
{Lm~r+ U  
Advantest T5585 (Spare Parts Only) mdw7}%5V  
EI^06q4x  
Advantest T5591 :hM/f  
.j-IX1Sa  
Agilent V4436 flash memory tester }X=[WCK U  
SI=yI-  
Credence DUO XP, 384 pin, mixed signal (parts only) 3K_A<j:  
Jej` ;I  
Credence Kalos 2 HEX (2 sets) qkC/\![@  
>$ e9igwe  
Credence Kalos 2 (several sets) q=#} yEG  
G8;w{-{m  
Credence Kalos XW (5 sets, Brand New) bP^Je&nS*  
;v$4$D]L  
Credence Kalos HEX =dFv/F/RW  
[3@):8  
Credence Personal Kalos (PK1) , 3 qty, any configuration can be supplied 1n@8Kv  
\.3D~2cU  
Credence Personal Kalos (PK2) , 4 qty, any configuration can be supplied n+PzA[  
DS'n  
Daymarc 717 Handler PpgP&;z4  
{\(L%\sV@  
EG 4080x Wafer Prober, upto 8" (3 qty) ; k)@DX  
4!LCR}K  
EG 4085x Wafer Prober, upto 8" (9 qty) (x3.poSt  
WoBo9aR  
EG 5/300 Wafer Prober MzL1Bh!M  
p8]68!=W\F  
Keithley S400/S425 tester with EG4085 prober (5 sets) _-#'j2  
Q^#;WASi  
Keithley S600 tester 8:/e GM  
ph-ATJ"  
Keithley S900B tester Et/&^&=\-  
D &/L:  
Nextest Maverick/PT di>cMS 4 c  
uNHF'?X  
Teradyne A575 Mixed Signal Tester 8A+SjJ4$  
T16{_  
Teradyne J750 Tester   4Z/Q=Mq2  
Bn(W"=1  
Testers Parts Inventory   g2T -TG'd  
%y%j*B!%  
If any problem, please call me at 86-13916644000 anytime. o!!yd8~*r  
iV eC=^1  
simon@gcemarket.com rhb@FE)Mc  
$]A/ o(  
http://www.gcemarket.com   )-`;1ca)s  
b%S62(qP  
GCEMarket China Sales Office 1hziXC0WY  
'FS?a  
Suite 1116, YinQiao Building `IY/9'vT  
l!g]a2x*  
58 JinXin Road, JinQiao, Pudong Shanghai, 201206 PRC 1rDqa(7  
g'|MA~4yB  
Tel: 0086-21-51303606 *7wAkljP  
>G~R,{6U  
Fax: 0086-21-51303605 ?A )hN8  
YR;^hs?  
China Mobile: 86-13916644000 DmOyBtj  
6KOlY>m]  
QQ:11992827, MSN: puresimon@msn.com, Skype: Puresimon
关键词: 设备
分享到:

最新评论

我要发表 我要评论
限 50000 字节
关于我们
网站介绍
免责声明
加入我们
赞助我们
服务项目
稿件投递
广告投放
人才招聘
团购天下
帮助中心
新手入门
发帖回帖
充值VIP
其它功能
站内工具
清除Cookies
无图版
手机浏览
网站统计
交流方式
联系邮箱:商务合作 站务处理
微信公众号:opticsky 微信号:cyqdesign
新浪微博:光行天下OPTICSKY
QQ号:9652202
主办方:成都光行天下科技有限公司
Copyright © 2005-2024 光行天下 蜀ICP备06003254号-1