CLDA照明设计师团队授课《室内外照明设计技巧及应用班》

发布:研究院 2012-10-25 10:40 阅读:1440
课程咨询:020-85160875 18902263624 
邮件咨询:yjh@alighting.com.cn ied<1[~S  
全国巡讲《DIALux软件及照明设计应用班》 ,:z@Ji  
成都站:11月16-18日  广州站:12月27-29日  杭州站:2013年3月28-30日 {ZfTUt)-P  
课程内容:软件特性及安装、室内与户外建模及计算、道路、隧道建模与计算、体育场 Za01z^  
馆计算入门,全程结合照明实际案例进行软件操作。 O>k.sO <  
11月《LED灯具结构与散热技术培训班》 1y?TyUP  
课程内容:台湾LED领域最资深照明专家团讲授灯具散热、结构、新材料、防水、EFD 3d,|26I7f  
散热软件案例分析等。 Pel3e ~?t  
13年1月《照明智能控制系统设计与应用班》 j f^fj-  
课程内容:光源驱动介绍、智能控制浅析、照明智能控制基础、办公室、酒店、家居等智 oEi +S)_  
能控制设计、参观智能家居体验厅及控制器件厂家等。 ]q?<fEG2<  
. +F0M?,  
《室内外照明设计技巧及应用班》课程预告 &2) mpY8xQ  
开课时间:12月12-15日(共四天)  地点:广州.羊城创意产业园 m*I5 \  
     广东光亚照明研究院将携手CLDA华人照明设计师联合会,于12月12日-15日开展为 }QC: !e,yG  
期四天的室内外照明设计基础及应用培训班。从基础设计导论到室内外不同照明场所设 PqP)<d '/  
计手法,希望通过系列循序渐近的课程让学员从基础入门实现到职业设计师的转变。 @7BH`b$)!  
招生对象: @P@t/  
....1.室内设计、环艺、建筑、电气等相关专业,或从事室内设计、环艺设计等工作者 HWs?,AJNxB  
....2.设计院及照明相关企业设计师、销售人员、工程师等 4QDF%#~q^  
....3.自营照明销售店、公司等企业业主 }S42.f.p  
....4.热爱照明行业,有志于从事照明设计工作的广大潜在照明设计师 Ajq<=y`NzV  
. #D}NT*w/  
课程安排: n ~ =]/  
12.15 #~ >0Dr  
照明设计导论I Ig9$ PP+3  
IES/CLDA照明设计规范 P|64wq{B8  
照明设计光学导论 Z~P5SEg  
完整的照明设计实施流程 ?EtK/6dJZt  
12.16 2=U4'C4#  
商业照明设计基本手法与应用 kszYbz"  
商业照明设计案例分享与解析 NVOY,g=3X  
办公、家居照明设计基本手法与应用 {cG&l:-r  
办公、家居照明设计案例分享与解析 8cW]jm  
12.17 t(s']r  
酒店照明设计基本手法与应用 `@Qq<T}V  
酒店照明设计案例分享与解析 `[`eg<xj  
夜景、景观照明设计基本手法与应用 fHe3 :a5+W  
夜景、景观照明设计案例分享与解析 ~>qcV=F^d,  
12.18 YgVZq\AV"  
市政照明设计-道路、桥梁、隧道基本手法与应用 i*F^;-q)  
市政照明设计-道路、桥梁、隧道案例分享与解析 L%=u&9DmU  
照明设计软件介绍 o 0fsM;K  
DIALUX照明设计软件基本操作 OvQG%D}P=  
照明设计讨论及总结. |~uCLf>  
. G `TO[p]q  
收费标准 2.2 s>?\  
(包含培训费、教材费、证书以及餐费等) GV%ibqOpQj  
住宿统一安排,费用自理。 hL&z"_`  
报名方式: U?.VY@  
如需参加课程,请提前一周填写报名表回传给我们进行确认,以便接待和通知学习。 1tfm\/V}ho  
电话:020-85160875 18902263624 i5:fn@&  
邮箱:yjh@alighting.com.cn V}Oxz04  
WJ/&Ag1  
[ 此帖被研究院在2012-10-25 11:00重新编辑 ]
分享到:

最新评论

我要发表 我要评论
限 50000 字节
关于我们
网站介绍
免责声明
加入我们
赞助我们
服务项目
稿件投递
广告投放
人才招聘
团购天下
帮助中心
新手入门
发帖回帖
充值VIP
其它功能
站内工具
清除Cookies
无图版
手机浏览
网站统计
交流方式
联系邮箱:商务合作 站务处理
微信公众号:opticsky 微信号:cyqdesign
新浪微博:光行天下OPTICSKY
QQ号:9652202
主办方:成都光行天下科技有限公司
Copyright © 2005-2024 光行天下 蜀ICP备06003254号-1